Московский CTO и техноблоггер Хабра Михаил Сваричевский в Silicon Valley

Московский CTO и техноблоггер Хабра Михаил Сваричевский в Silicon Valley

В Калифорнию приехал на разнообразные переговоры из Москвы CTO компании WayRay Михаил Сваричевский, известный на Хабре и Geektimes как популярный техноблоггер BarsMonster и разрушитель кислотой микросхем с вебсайтом zeptobars.com: После технологических дел я вытащил Михаила на прогулку, чтобы показать ему что тут не сплошной Apple и Google: Февральский день в солнечной Калифорнии. Это мы в […] Дальше …
Using MIPS microAptiv UP Processor CorExtend UDI interface

Using MIPS microAptiv UP Processor CorExtend UDI interface

CorExtend is a feature of MIPS32 microAptiv microprocessor which is presented in MIPSfpga project as a real industrial unobfuscated RTL. Sources of MIPSfpga can be downloaded after joining Imagination University Programme https://community.imgtec.com/university/. CorExtend allows system designers to define and add their own instructions that operate on data in the general-purpose registers in the same manner as standard MIPS instructions. This […] Дальше …
Добавляем инструкции в микропроцессор MIPS, которые работают в конвейере как его собственные

Добавляем инструкции в микропроцессор MIPS, которые работают в конвейере как его собственные

Можно ли добавить в микропроцессор инструкции (команды)? Если вы используете микросхемы ПЛИС / FPGA с реконфигурируемой логикой и микропроцессорное ядро, которые синтезирутся из описания на языках Verilog и VHDL, то можете. Причем это будет «честное», настоящее расширение системы команд, а не трюк типа программной эмуляции инструкции в обработчике исключения от зарезервированной команды, и не «микрокод», […] Дальше …
Как России развить микроэлектронику и победить Айфон

Как России развить микроэлектронику и победить Айфон

Статью «Как России развить микроэлектронику и победить Айфон» я написал еще летом 2015 года, но не публиковал ее до осенней поездки в Россию. Вы можете сначала прочитать эту статью ниже, а потом сравнить с тем, что получилось из этой поездки — я написал о ней отчет «Путешествие по микроэлектронной России 2015 года». Как России развить […] Дальше …
Porting MIPSfpga to Terasic DE1 board with Altera Cyclone II FPGA

Porting MIPSfpga to Terasic DE1 board with Altera Cyclone II FPGA

Я получил запрос из крупного университета на Ближнем Востоке про использование MIPSfpga на плате Terasic DE1 с ПЛИС Altera Cyclone II. Хотя у меня нет этой платы на руках, я попробовал помочь преподавателю перенести MIPSfpga на эту плату, и я надеюсь, что университет сможет приспособить MIPSfpga для своей учебной программы. Ниже — фрагмент моей переписки […] Дальше …
Как начать работать с  MIPSfpga

Как начать работать с MIPSfpga

MIPSfpga — это пакет, который содержит процессорное ядро в исходниках на Verilog, которое можно менять, добавлять новые инструкции, строить многопроцессорные системы, менять одновременно софтвер и хардвер и т.д. Его можно в целях эксперимента например запускать с частотой 1 такт в секунду и выводить наружу информацию о состоянии кэша, конвейера, и любых структур внутри процессора. При […] Дальше …
Бесплатный учебник электроники, архитектуры компьютера и низкоуровневого программирования на русском языке

Бесплатный учебник электроники, архитектуры компьютера и низкоуровневого программирования на русском языке

UPD: Старые ссылки для загрузки учебника, указанные в посте, больше не работают. Вы можете получить новую ссылку для загрузки, если введете ваше имя и емейл. Ссылка прийдет по емейлу. Это бесплатная версия, отформатированная для чтения с планшета: Отдельно вы можете скачать дополнительные слайды для лекций, тоже бесплатно. Их могут использовать преподаватели, которые читают курсы по […] Дальше …
По просьбе преподавателя одного из российских вузов выписал список тем, связанных с MIPSfpga

По просьбе преподавателя одного из российских вузов выписал список тем, связанных с MIPSfpga

По просьбе преподавателя одного из российских вузов выписал список тем, связанных с MIPSfpga. Это теоретически может помочь встроить лабы в различные программы. Список является микстурой из Харриса & Харриса и всяких индустриальных навыков. Короче чего вижу, о том пою: Концепции, которыми, как мы ожидаем, студент уже владеет. Если чем-то нет, можно будет устроить короткий ликбез: […] Дальше …
MIPSfpga+ allows loading programs via UART and has a switchable clock

MIPSfpga+ allows loading programs via UART and has a switchable clock

Originally published on January 1, 2016 This revision is from February 1, 2016 MIPSfpga+ / mipsfpga-plus / MFP is a cleaned-up and improved variant of MIPSfpga-based system defined in MIPSfpga Getting Started package (MFGS). The new features include: The ability to load a software program from a PC into a synthesized system on FPGA board […] Дальше …
MIPSfpga+ allows loading programs via UART and has a switchable clock

MIPSfpga+ allows loading programs via UART and has a switchable clock

MIPSfpga+ / mipsfpga-plus / MFP is a cleaned-up and improved variant of MIPSfpga-based system defined in MIPSfpga Getting Started package (MFGS). The new features include: The ability to load a software program (into a synthesized system) using ubiquitous $5 FTDI-based USB-to-UART connector instead of $50 Bus Blaster that is difficult to get in some places […] Дальше …
Конференция на Алтае «МНОГОЯДЕРНЫЕ ПРОЦЕССОРЫ, ПАРАЛЛЕЛЬНОЕ ПРОГРАММИРОВАНИЕ, ПЛИС, СИСТЕМЫ ОБРАБОТКИ СИГНАЛОВ (МППОС– 2016)»

Конференция на Алтае «МНОГОЯДЕРНЫЕ ПРОЦЕССОРЫ, ПАРАЛЛЕЛЬНОЕ ПРОГРАММИРОВАНИЕ, ПЛИС, СИСТЕМЫ ОБРАБОТКИ СИГНАЛОВ (МППОС– 2016)»

Вы знаете, что происходит на Алтае? Не только наводнения. Оказывается, там проходят вот такие конференции: Дальше …
Путешествие по микроэлектронной России 2015 года

Путешествие по микроэлектронной России 2015 года

Три недели на просторах бескрайней, с посещениями МГУ, МФТИ, МИФИ, зеленоградского МИЭТ, питерского ИТМО, самарского СГАУ, сколковского Сколкова и зеленогорского Microchip Masters Russia. В историческом для российской микроэлектроники 2015 году, когда появились спроектированные в России чипы на 28 nm, с гигагерцовыми частотами и суперскалярными процессорными ядрами на борту, когда зеленоградские команды начали интегрировать на одном […] Дальше …
Земля, небо и будущее российской электроники

Земля, небо и будущее российской электроники

Когда я был маленьким мальчиком, моей любимой книгой была «Земля и Небо». Она до сих пор стоит у меня на полке: Потом я в 1 классе поехал в Москву, пошел на ВДНХ в павильон «Космос», и с большим интересом познакомился там с луноходом. И вот недавно, 38 лет спустя, я повторил знакомство: Правда теперь он […] Дальше …
Инструкция по скачиванию MIPSfpga

Инструкция по скачиванию MIPSfpga

Инструкция по скачиванию MIPSfpga: 1. Зарегистрируйтесь в коммьюнити http://community.imgtec.com/register 2. Подтвердите емейл 3. Сделайте login в коммьюнити 4. Пойдите в Imagination University Program — http://community.imgtec.com/university/university-registration 5. Сходите в меню University | Join IUP 6. Заполните 7. Пойдите в http://community.imgtec.com/university/resources/ и запросите пакеты English|MIPSfpga Getting Started, English|MIPSfpga Fundamentals 8. Для загрузки документации также возмите Russian|MIPSfpga Getting […] Дальше …
Раздача слонов: FPGA платы для образовательных проектов с MIPSfpga

Раздача слонов: FPGA платы для образовательных проектов с MIPSfpga

Месяц назад в российских университетах прошли семинары по MIPSfpga (см. что это такое здесь, а также 1, 2, 3, 4), а джентлемен из НИИСИ по имени Антон Павлов написал заметку про его собственные улучшения MIPSfpga «MIPSfpga: вне канона». Так как профессора встретили новый продукт для обучения студентов электронике в целом положительно, то возникла идея помочь […] Дальше …
MIPSfpga Russia — Trip Report

MIPSfpga Russia — Trip Report

Brief: Wed, Oct 21, Flight San Francisco -> Moscow Thu, Oct 22: Arriving to Moscow, participated at party at SECR conference Fri, Oct 23: Preparation for seminar MIET and MSU, visit to ZITC Sat, Oct 24: Delivered a speech at teenager’s electronic club in Space Exploration Museum Sun, Oct 25: Informal meeting of people in […] Дальше …
Защищено: MIPSfpga Russia 2015 — Trip Report — with contact information

Защищено: MIPSfpga Russia 2015 — Trip Report — with contact information

Отрывка нет, потому что запись защищена. Дальше …
Роботы и девушки в Сколково

Роботы и девушки в Сколково

Сколково в ноябре напоминает военно-воздушную базу Ванденберг — военное сооружение с космодромом, расположенное в США (округ Санта-Барбара штата Калифорния). Та же безблагодатная жухлая равнина под серым небом, на которой стоят коробки и призмы загадочных сооружений. Вот сравним несколько мест Сколково и базы Ванденберг (по первому я ходил, а вторую фотографировал из окна поезда): Это Сколково: […] Дальше …
Фотографии, материалы и перипетии семинара MIPSfpga в МИФИ

Фотографии, материалы и перипетии семинара MIPSfpga в МИФИ

В конце октября в МИФИ состоялся семинар по MIPSfpga — один из серии семинаров, организованных российскими вузами совместно с Imagination Technologies, Microchip Technology в партнерстве с Гамма Санкт-Петербург, представителями Xilinx в России компанией Макро Групп, с участием докладчиков из MathWorks и НИИСИ. Ниже — смесь из фотографий, ссылок на материалы, заметок о подготовке семинара и […] Дальше …
Конвейерный сумматор и (отдельно) self-timed схемы из Digital Arithmetic 1st Edition by Milos D. Ercegovac and Tomás Lang

Конвейерный сумматор и (отдельно) self-timed схемы из Digital Arithmetic 1st Edition by Milos D. Ercegovac and Tomás Lang

Перед началом семинара по MIPSfpga в МИФИ один из инструкторов стал спрашивать меня на тему race conditions в некоей схеме для специализированных вычислений, которая возникла у них как студенческий проект. Я не уверен, что его правильно понял, но высказал, что в правильно организованном синхронном дизайне таких проблем не возникает и пообщал прислать в качестве примера […] Дальше …
Публичные презентации, использованные во время семинаров по MIPSfpga в России

Публичные презентации, использованные во время семинаров по MIPSfpga в России

Публичные презентации, использованные во время семинаров по MIPSfpga в России в конце октября — начале ноября 2015 года: Директория с презентациями: http://silicon-russia.com/public_materials/2015_11_14_mipsfpga_related_presentations/ Ссылки на индивидуальные презентации: Суть бизнеса Imagination Technologies История MIPS, подразделения Imagination На русском языке: mips_and_imgtec_background_russian_2015_10_25.pdf Общая корпоративная презентация Imagination Technologies по состоянию на октябрь 2015 года На английском языке: imagination_corporate_overview_oct_2015.pdf Образовательные программы […] Дальше …
Инструкция по лабораторным работам по MIPSfpga для платы Terasic DE0-Nano c Altera Cyclone IV

Инструкция по лабораторным работам по MIPSfpga для платы Terasic DE0-Nano c Altera Cyclone IV

Дальше …
Инструкция по лабораторным работам по MIPSfpga для платы Digilent Nexys 4 DDR c Xilinx Artix-7 FPGA

Инструкция по лабораторным работам по MIPSfpga для платы Digilent Nexys 4 DDR c Xilinx Artix-7 FPGA

Инструкция по лабораторным работам по MIPSfpga для платы Digilent Nexys 4 DDR c Xilinx Artix-7 FPGA 1. Соединените платы Digilent Nexys 4 DDR c c Bus Blaster, USB-to-UART модулем и датчиком освещения Digilent Pmod ALS: 2. Как создать проект в Xilinx Vivado: Information about pins: Дальше …
Инструкция по лабораторным работам по MIPSfpga для платы Terasic DE0-CV c Altera Cyclone V

Инструкция по лабораторным работам по MIPSfpga для платы Terasic DE0-CV c Altera Cyclone V

Information about pins: Дальше …
Cadence организует два больших семинара в России — в МИЭТ и ИТМО

Cadence организует два больших семинара в России — в МИЭТ и ИТМО

Cadence Design Systems, одна из двух крупнейших мировых компаний в области автоматизации разработки микросхем, 15-16 октября проведет семинары в Московском институте электронной техники (МИЭТ) в Зеленограде и в Питерском Университете ИТМО. В семинарах примут участие и три российские компании «Элекроприбор», НИИМА «Прогресс» и НПЦ «Элвис». Среди презентаций — новый тул для синтеза Genus — вот […] Дальше …
Приходите на семинары по MIPSfpga в Москве и Санкт-Петербурге

Приходите на семинары по MIPSfpga в Москве и Санкт-Петербурге

Хотите увидеть, как работает промышленный процессор «в замедленной съемке»? Как инструкции переваливаются из стадии в стадию конвейера, как запросы на чтение из памяти попадают или промахиваются мимо кэша? А как насчет построить свою систему на кристалле, используя то же микропроцессорное ядро, которое используют инженеры Samsung в новой платформе Artik 1? Если да, то это объявление […] Дальше …
Периферийные устройства от Digilent которые можно было бы использовать в лабораторных работах по MIPSfpga

Периферийные устройства от Digilent которые можно было бы использовать в лабораторных работах по MIPSfpga

PmodALS Ambient Light Sensor SPI $15.00 PmodAMP3 Stereo Power Amplifier I2S, I2C $19.99 PmodCDC1 Capacitative I/O I2C $21.99 PmodCLS Character LCD w/ serial interface UART, SPI, TWI $39.00 PmodCLP Character LCD w/ parallel interface parallel $37.99 PmodCMPS 3-Axis Digital Compass I2C $25.00 PmodDPOT Digital Potentiometer SPI $21.59 PmodENC Rotary encoder $16.99 PmodI2S Stereo Audio Output […] Дальше …
Портирование MIPSfpga на плату Terasic DE0-CV с Altera Cyclone V FPGA

Портирование MIPSfpga на плату Terasic DE0-CV с Altera Cyclone V FPGA

На днях мы вместе с Алексом и Владимиром спортировали MIPSfpga (пакет для введения в проектирование систем на кристалле) на плату Terasic DE0-CV с Altera Cyclone V FPGA. Эта плата — довольно хорошее решение для образовательного российского рынка, так как она дешевая ($150) и ее просто купить на сайте тайваньской компании Terasic (www.terasic.com.tw/_sub/de0-cv), которая быстро и […] Дальше …
Оруженосцы микроэлектроники. Видеорепортаж с конференции по проектированию электроники в Сан-Франциско

Оруженосцы микроэлектроники. Видеорепортаж с конференции по проектированию электроники в Сан-Франциско

Каким образом студент или университетский исследователь может не просто спроектировать микросхему, но и получить ее в свои руки с фабрики? Ведь начальный взнос за фабричное производство микросхем для коммерческих целей как правило превышает миллион долларов? К счастью, такой путь существует за гораздо меньшие деньги — через организацию, которая называется Europractice. Я записал видео интервью с […] Дальше …

Список статей в мировой прессе, пресс-релизов и блогов про MIPSfpga с 27 апреля по 4 мая 2015 года

Дальше …
Первый раунд вводных студенческих проектов/упражнений для курса по Verilog и FPGA

Первый раунд вводных студенческих проектов/упражнений для курса по Verilog и FPGA

An example introductory project: Implement a design of a shift register with enable signal. The design should input a single bit from a key and put in into the shift register. The current state and the output of the shift register should be displayed on LEDs in binary representation. In addition, the current state of […] Дальше …
Пример первого студенческого проекта для вводного курса по Verilog RTL и FPGA

Пример первого студенческого проекта для вводного курса по Verilog RTL и FPGA

Служит как иллюстрация для списка из 35 индивидуальных упражнений для студентов, начинающих изучать разработку цифровых микросхем, используя язык описания аппаратуры Verilog на уровне регистрового обмена, с реализацией на программируемой пользователем вентильной матрице (ППВМ). Задание: Разработать схему, которая вводит нажатия на клавишу в сдвиговый регистр с сигналом разрешения, выводит текущее состояние сдвигового регистра на группу светодиодов […] Дальше …
Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 5

Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 5

1.5 Which waveform is the result of the simulation below? module design (input a, input b, output o); assign o = a ^ b; endmodule module tb; logic a, b, o; design design_inst (a, b, o); initial begin $dumpvars; $monitor ("%t a %b b %b o %b", $time, a, b, o); #10; a = 0; […] Дальше …
Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 4

Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 4

1.4 Which waveform is the result of the simulation below? module design (input a, input b, output o); assign o = a | b; endmodule module tb; logic a, b, o; design design_inst (a, b, o); initial begin $dumpvars; $monitor ("%t a %b b %b o %b", $time, a, b, o); #10; a = 0; […] Дальше …
Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 3

Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 3

1.3 Which waveform is the result of the simulation below? module design (input a, input b, output o); assign o = a & b; endmodule module tb; logic a, b, o; design design_inst (a, b, o); initial begin $dumpvars; $monitor ("%t a %b b %b o %b", $time, a, b, o); #10; a = 0; […] Дальше …
Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 2

Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 2

1.2 Which waveform is the result of the simulation below? module design (input a, input b, output o); assign o = ~ a | ~ b; endmodule module tb; logic a, b, o; design design_inst (a, b, o); initial begin $dumpvars; $monitor ("%t a %b b %b o %b", $time, a, b, o); #10; a […] Дальше …
Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 1

Intro RTL design for FPGA using Verilog. Midterm exam 1, variant 1

1.1 Which waveform is the result of the simulation below? module design (input a, input b, output o); assign o = ~ a & ~ b; endmodule module tb; logic a, b, o; design design_inst (a, b, o); initial begin $dumpvars; $monitor ("%t a %b b %b o %b", $time, a, b, o); #10; a […] Дальше …
Как начать разрабатывать железо, используя ПЛИС — пошаговая инструкция

Как начать разрабатывать железо, используя ПЛИС — пошаговая инструкция

Каким образом повысить количество российских инженеров, которые были бы знакомы и с разработкой хардвера, и с разработкой софтвера, и могли бы строить системы, в которых часть функциональности находится в специализированном железе, а часть — в программном обеспечении, с соблюдением баланса между ними? Для этого вовсе не обязательно выбрасывать несуразные деньги а-ля сделка Сколкова и MIT. […] Дальше …
Powered by WordPress | Fluxipress Theme